A simple vhdl fir description.
選択できるのは25トピックまでです。 トピックは、先頭が英数字で、英数字とダッシュ('-')を使用した35文字以内のものにしてください。

7 行
289B

  1. library ieee;
  2. USE ieee.std_logic_1164.all;
  3. USE work.General_Includes.all;
  4. package coeff is
  5. CONSTANT fir_coeffs_generated : vect_fir_coeffs_in := (X"02",X"00",X"00",X"00",X"00",X"00",X"00",X"ff",X"ff",X"ff",X"fe",X"fe",X"fe",X"fe",X"fe",X"fe",X"fe",X"fe",X"ff",X"ff");
  6. end package coeff;