library ieee; USE ieee.std_logic_1164.all; USE work.General_Includes.all; package coeff is CONSTANT fir_coeffs_generated : vect_fir_coeffs_in := (X"02",X"00",X"00",X"00",X"00",X"00",X"00",X"ff",X"ff",X"ff",X"fe",X"fe",X"fe",X"fe",X"fe",X"fe",X"fe",X"fe",X"ff",X"ff"); end package coeff;