LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE work.general_includes.ALL; PACKAGE simu_pkg IS TYPE donnee_sortie IS ARRAY (0 TO cst_nb_samples_adc_in-1) OF integer; CONSTANT w_x_simu : natural := 4; END;