diff --git a/coeff.vhd b/coeff.vhd new file mode 100644 index 0000000..2eb1f8c --- /dev/null +++ b/coeff.vhd @@ -0,0 +1,6 @@ +library ieee; +USE ieee.std_logic_1164.all; +USE work.General_Includes.all; +package coeff is + CONSTANT fir_coeffs_generated : vect_fir_coeffs_in := (X"02",X"00",X"00",X"00",X"00",X"00",X"00",X"ff",X"ff",X"ff",X"fe",X"fe",X"fe",X"fe",X"fe",X"fe",X"fe",X"fe",X"ff",X"ff"); +end package coeff;