Simulation files of Polyphase Filter in VHDL for FPGA.
Du kan inte välja fler än 25 ämnen Ämnen måste starta med en bokstav eller siffra, kan innehålla bindestreck ('-') och vara max 35 tecken långa.

140 lines
4.7KB

  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.ALL;
  3. USE ieee.numeric_std.ALL;
  4. USE work.POLY_FIR_PKG.ALL;
  5. USE work.simu_pkg.ALL;
  6. USE work.utils.ALL;
  7. LIBRARY std;
  8. USE std.textio.ALL;
  9. USE work.coeff.ALL;
  10. ENTITY poly_fir_tb IS
  11. GENERIC (
  12. demi_periode : time := 5 ns;
  13. -- duree de la demi periode des horloges
  14. test_e : string := "D:\Stage\ALMA_OPFB\simu\polyphase_fir\tb_txts_files\input.txt";
  15. -- fichier test contenant les echantillons d'entree
  16. test_s : string := "D:\Stage\ALMA_OPFB\simu\polyphase_fir\tb_txts_files\output.txt"
  17. -- fichier contenant les echantillons de sortie
  18. --fir_addr : std_logic_vector(band5a_w_fc-1 DOWNTO 0) := std_logic_vector(unsigned(band5a_fc_index, band5a_w_fc))
  19. -- coef de décimation
  20. );
  21. END poly_fir_tb;
  22. ARCHITECTURE beh OF poly_fir_tb IS
  23. TYPE vect_from_matrix_fir_data_out IS ARRAY (0 TO cst_nb_subfilters*cst_nb_parallel_firs-1) OF smpl_fir_data_out;
  24. FILE fichier_e : text IS IN test_e;
  25. FILE fichier_s : text IS IN test_s;
  26. --FILE fichier_c : text IS IN test_c;
  27. SIGNAL initialisation : std_logic;
  28. SIGNAL h : std_logic;
  29. SIGNAL entree_fir : vect_adc_data_out := (OTHERS => (OTHERS => '0'));
  30. SIGNAL sortie_fir : vect_from_matrix_fir_data_out := (OTHERS => (OTHERS => '0'));
  31. SIGNAL sortie_fir_sim : matrix_fir_data_out := (OTHERS => (OTHERS => (OTHERS => '0')));
  32. --SIGNAL coeffs_fir : vect_fir_coeffs_in := ;
  33. SIGNAL sortie_fir_sim_vect : vect_from_matrix_fir_data_out := (OTHERS => (OTHERS => '0'));
  34. BEGIN -- ARCHITECTURE beh
  35. module_simu : ENTITY work.poly_fir_blk(polyphase)
  36. PORT MAP(h, fir_coeffs_generated, entree_fir, sortie_fir_sim);
  37. horloge_entree : horloge(h, demi_periode, demi_periode);
  38. sortie_fir_sim_process : PROCESS(sortie_fir_sim)
  39. VARIABLE mots_lignes : natural := 10;
  40. BEGIN
  41. FOR k IN 0 TO mots_lignes-1 LOOP
  42. FOR j IN 0 TO cst_nb_subfilters-1 LOOP
  43. sortie_fir_sim_vect(k*mots_lignes+j) <= sortie_fir_sim(j)(k);
  44. END LOOP;
  45. END LOOP;
  46. END PROCESS;
  47. source : PROCESS
  48. CONSTANT header : natural := 1; -- nombre de ligne d'en tête
  49. CONSTANT nbr_ech : natural := 800000; -- nombre d'echantillons d'entree dans le fichier test
  50. CONSTANT mots_ligne : natural := cst_nb_samples_adc_in; -- nombre de mots par ligne dans le ficher
  51. VARIABLE nbr_ligne : natural := 10000; --2750; --15625; -- nombre de lignes restant à lire dans le fichier
  52. VARIABLE i : natural := 1;
  53. VARIABLE donnee : integer;
  54. VARIABLE tempo : natural := 0;
  55. VARIABLE ligne : line;
  56. VARIABLE head : boolean := false;
  57. BEGIN -- PROCESS source
  58. WAIT UNTIL falling_edge(h);
  59. IF head = true THEN
  60. head := false;
  61. FOR i IN 0 TO header-1 LOOP
  62. readline(fichier_e, ligne);
  63. END LOOP;
  64. END IF;
  65. IF tempo > 0 THEN -- temps de synchro
  66. tempo := tempo -1;
  67. ELSIF nbr_ligne > 0 THEN
  68. readline(fichier_e, ligne);
  69. nbr_ligne := nbr_ligne-1;
  70. FOR k IN 0 TO mots_ligne -1 LOOP
  71. read(ligne, donnee);
  72. entree_fir(k) <= std_logic_vector(to_signed(donnee, cst_w_in));
  73. END LOOP; -- k
  74. END IF;
  75. END PROCESS source;
  76. test : PROCESS
  77. CONSTANT header : natural := 1; -- nombre de ligne d'en tête
  78. CONSTANT nbr_ech : natural := 2000000; --nombre d'echantillons d'entree dans le fichier test
  79. CONSTANT mots_ligne : natural := 100; -- nombre de mots par ligne dans le ficher
  80. VARIABLE nbr_ligne : natural := 10000; -- nombre de lignes restant à lire dans le fichier
  81. VARIABLE i : natural;
  82. VARIABLE donnee : donnee_sortie;
  83. VARIABLE ligne : line;
  84. VARIABLE tempo : natural := 5;
  85. VARIABLE sortie : integer;
  86. VARIABLE head : boolean := false;
  87. BEGIN -- PROCESS test
  88. WAIT UNTIL falling_edge(h);
  89. IF tempo > 0 THEN -- temps de synchro
  90. tempo := tempo -1;
  91. ASSERT false REPORT "Attente_2 ... " SEVERITY note;
  92. ELSIF nbr_ligne > 0 THEN
  93. readline(fichier_s, ligne);
  94. nbr_ligne := nbr_ligne-1;
  95. FOR k IN 0 TO mots_ligne-1 LOOP
  96. read(ligne, donnee(k));
  97. sortie := to_integer(signed(sortie_fir_sim_vect(k)));
  98. sortie_fir(k) <= std_logic_vector(to_signed(donnee(k), cst_w_out));
  99. ASSERT sortie = donnee(k) REPORT "Valeur fir FAUSSE"
  100. SEVERITY error;
  101. --ASSERT sortie /= donnee(k) REPORT "OK"
  102. -- SEVERITY note;
  103. END LOOP; -- k
  104. END IF;
  105. END PROCESS test;
  106. END ARCHITECTURE beh;