LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE work.FIVEn_DFT_PKG.ALL; PACKAGE simu_pkg IS TYPE donnee_sortie IS ARRAY (0 TO 2*cst_nb_samples_in_5ndft-1) OF integer; CONSTANT w_x_simu : natural := 8; END;