diff --git a/tb_txt_files/coeffs.vhd b/tb_txt_files/coeffs.vhd new file mode 100644 index 0000000..11e2eb7 --- /dev/null +++ b/tb_txt_files/coeffs.vhd @@ -0,0 +1,8 @@ +library ieee; +USE ieee.std_logic_1164.all; +USE work.FIVEn_DFT_PKG.all; +package coeff is + TYPE vect_cos_sin_k_pi_over_n_wb IS ARRAY (0 TO cst_nb_wn_coeffs-1) OF smpl_cos_sin_wb ; + CONSTANT cos_k_pi_over_n_wb : vect_cos_sin_k_pi_over_n_wb := ("01000000","00111101","00110100","00100110","00010100","00000000","11101100","11011010","11001100","11000011"); + CONSTANT sin_k_pi_over_n_wb : vect_cos_sin_k_pi_over_n_wb := ("00000000","11101100","11011010","11001100","11000011","11000000","11000011","11001100","11011010","11101100"); +end package coeff; \ No newline at end of file